banner

Blog

Sep 07, 2023

Cavités PhC en silicium polycristallin pour CMOS sur

Rapports scientifiques volume 12, Numéro d'article : 17097 (2022) Citer cet article

1287 accès

15 Altmétrique

Détails des métriques

Dans ce travail, nous présentons une solution d'intégration photonique 2D et 3D sur puce compatible avec l'intégration Front End of Line (FEOL) utilisant du silicium polycristallin déposé (poly:Si) pour des applications d'interconnexions optiques. L'intégration de silicium déposé sur une plaquette de silicium massif est ici abordée dans toutes ses étapes de traitement et ses configurations. De plus, les résultats des résonateurs à cristaux photoniques (PhC) à Q élevé en silicium déposés sont présentés, démontrant la possibilité d'utiliser des résonateurs optiques modelés sur ce matériau dans la prochaine génération d'interconnexions optiques intégrées 2D et 3D.

Le besoin de photonique au niveau du processeur s'intensifie considérablement ces dernières années. La récente diminution de la taille des transistors (jusqu'à l'équivalent de nœud de 2 nm - 333,33 MTr/mm21,2 et le nœud de 1 nm prévu en 20293), traduite par une augmentation des densités de transistors, a conduit à une croissance rapide du nombre de transistors flottants. opérations ponctuelles (FLOP) que les processeurs peuvent effectuer, de 1 TFLOP en 2007 à 7,2 TFLOP en 2015 et aux 96,8 TFLOP prévus en 2022. la bande passante nécessite une mise à l'échelle continue sur plusieurs centaines de To/s1. Cependant, la zone de la puce est limitée à sa taille actuelle en raison du rendement et du coût de fabrication, ce qui se manifeste par une augmentation très lente du nombre de broches de signal, limitant considérablement les capacités de conditionnement de la puce. Il s'ensuit que les demandes actuelles de bande passante ne peuvent être satisfaites qu'en augmentant l'horloge hors puce à plus de 65 GHz d'ici 20294. Parallèlement, la dissipation thermique sur puce limite la consommation d'énergie maximale de la puce à 300 W2, avec la nécessité de réduire également le budget énergétique pour -communication par puce de milliers à des dizaines de fJ/bit. En raison de ces besoins en bande passante et en énergie, des interconnexions optiques doivent être mises en œuvre sur la puce électronique à la place des liaisons électriques existantes. Une approche de conditionnement optique-électronique traditionnelle implique la liaison par puce des liaisons optiques sur l'électronique, qui est cependant encore limitée en bande passante par le pas des E / S du signal flip-chip. Cette approche manifeste des parasites électriques affectant les performances des composants optiques et électroniques, en plus d'imposer un goulot d'étranglement de densité de bande passante. Une autre approche d'intégration prometteuse consiste en l'intégration frontale monolithique de circuits photoniques en silicium, qui implique la réalisation des composants optiques et électroniques dans la même couche SOI de silicium cristallin, sur une seule puce5, offrant une intégration très compacte de la photonique et de l'électronique , maximisant la densité de la bande passante et réduisant les effets parasites. Cependant, outre le coût plus élevé du SOI par rapport aux tranches de Si en vrac, cette approche entrave gravement les performances de l'électronique car, aux longueurs d'onde des télécommunications, le confinement optique à faible perte dans les guides d'ondes photoniques nécessite au moins un oxyde enterré d'au moins 1 µm d'épaisseur, tandis que les transistors SOI ont besoin de très oxyde enterré mince (100 nm ou moins) pour la dissipation thermique et les effets électrostatiques. L'oxyde enterré épais signifie que les longueurs de grille des transistors doivent être supérieures à 100 nm et que la densité des transistors diminue6,7, ce qui limite considérablement les performances et l'évolutivité des processeurs. Certains efforts ont également été dirigés vers l'intégration frontale de guides d'ondes sur des substrats en Si massif8,9,10 et en SOI mince11,12, mais ces techniques comprennent toujours les étapes de fabrication impliquant la modification de la couche électronique de silicium. Une autre approche d'intégration, appelée intégration back-end monolithique13, implique la réalisation des composants photoniques sur un plan différent par rapport à la couche électronique, offrant des densités de bande passante élevées similaires aux processus front-end, mais avec en plus la possibilité de conserver la fabrication optimisée de la couche de transistor inchangée, contrairement aux exigences d'intégration frontale. La couche photonique implique généralement du silicium déposé dans diverses phases et formes, car le silicium cristallin standard ne peut pas être déposé avec la technologie CMOS standard, mais uniquement formé par croissance épitaxiale14 si un germe cristallin est déjà présent, ou transféré d'une plaquette c:Si donneuse à une autre. tranche cible par implantation ionique et collage de tranche15,16, comme dans le cas du SOI. Les matériaux de silicium déposés pour la photonique peuvent prendre la forme de nitrure de silicium (SiN), de silicium amorphe (a:Si) et plus récemment de silicium polycristallin (poly:Si). Malgré l'optimisation des propriétés optiques de ces matériaux déposés, les plateformes SiN et a:Si présentent intrinsèquement de mauvaises propriétés électriques (faible mobilité effective des porteurs) en raison de leur structure atomique amorphe, contrairement à ce qui est généralement requis pour la modulation électro-optique, la commutation , et la photodétection. À l'inverse, le poly:Si déposé, toujours compatible avec l'intégration frontale, se caractérise par des propriétés électriques similaires au silicium monocristallin, ouvrant la possibilité d'utiliser ce matériau dans des composants optoélectroniques entièrement intégrables et très efficaces. Pourtant, le poly:Si déposé présente normalement une rugosité de surface élevée et de nombreux joints de grains qui affectent grandement les performances optiques des composants photoniques, principalement en raison des mécanismes de diffusion. De plus, presque toutes les couches poly:Si rapportées ont nécessité un dépôt, un recuit et un post-traitement à haute température (généralement T ≥ 900 ° C), comme indiqué dans 17, 18, 19, 20, 21, 22. Cette plage de température n'est pas compatible avec le traitement de fabrication en arrière-plan23, conduisant à la diffusion du dopage électronique, et ne peut donc pas être utilisée pour l'intégration verticale. Dans ce travail, nous optimisons la plate-forme poly:Si déposée par des processus de recuit laser et de planarisation chimico-mécanique pour le développement de résonateurs PhC de haute qualité à utiliser des interconnexions optiques intégrées compatibles FEOL (en plus de rester entièrement compatibles avec l'intégration BEOL24). Dans ce travail, nous créons également du poly:Si lisse sur des îlots de SiO2 épais imbriqués dans une tranche de Si en vrac. Les régions poly:Si créées sur la plaquette conviennent à la photonique sans compromettre l'adéquation du reste de la plaquette aux transistors hautes performances. L'amélioration de l'interaction lumière-matière fournie par les cristaux photoniques permet de créer une photonique haute performance qui ne consomme qu'une petite fraction de la surface de la tranche.

Le manuscrit est divisé en macro-sections suivantes :

L'optimisation du dépôt poly:Si, du recuit et de la planarisation de surface,

L'intégration d'îlots poly:Si déposés sur des tranches de silicium massif,

Le développement de résonateurs PhC à haut Q sur poly:Si.

Le silicium déposé est l'une des solutions les plus importantes pour la photonique sur silicium intégrée 3D. Cependant, le matériau à la fois sous forme amorphe et polycristalline a de mauvaises qualités optiques en raison des mécanismes d'absorption et de diffusion de rugosité se produisant dans les matériaux tels que déposés. Leur utilisation dans des applications photoniques intégrées nécessite des techniques de traitement des matériaux soigneuses pour réduire les pertes de matériaux intrinsèques liées à l'absorption linéaire due aux liaisons pendantes du silicium sous forme amorphe et à la diffusion de la lumière due à la rugosité de la surface et des joints de grains sous la forme polycristalline. Dans le cas de a: Si, les pertes peuvent être considérablement réduites au moyen de l'implantation d'hydrogène, en éteignant l'absorption à la longueur d'onde des télécommunications des liaisons pendantes du silicium par la formation de liaisons S – H25. Poly:Si, en outre, présente de mauvaises performances optiques principalement liées à sa nature microcristalline, pour laquelle de nombreux domaines cristallins différents, les grains (Fig. 1a), se forment lors de la cristallisation à partir du matériau amorphe déposé. Les propriétés optiques de type silicium monocristallin caractérisent l'intérieur de ces grains, mais l'orientation différente de leur plan cristallin d'un grain à l'autre forme des frontières physiques rugueuses entre les grains qui perturbent la propagation de la lumière par diffusion. Ces joints de grains sont également responsables de la rugosité de surface élevée du poly:Si non traité, qui domine les pertes de propagation de la lumière sur les plates-formes poly:Si26. Pour cette raison, des techniques dédiées compatibles CMOS ont été développées pour optimiser la rugosité du matériau et la distribution granulométrique, telles que la planarisation chimico-mécanique (CMP) et le recuit laser (27,28,29,30) respectivement, qui ont été utilisées pour préparer le poly Substrats :Si pour les applications photoniques abordées dans ce travail.

(a) Schémas des arrangements atomiques dans le silicium monocristallin, amorphe et polycristallin, (b) schémas du processus de recuit laser, (c) schémas du processus CMP (vue de dessus) et (d) schémas du processus CMP (vue latérale) .

Les schémas des processus de recuit laser et de CMP sont illustrés respectivement sur les figures 1b, c et d. Dans cette section, la fabrication des substrats poly:Si pour lesquels les cavités PhC ont été conçues est discutée en détail. La fabrication des substrats consistait en deux cycles différents réalisés au CEA-Leti (Grenoble, France), le premier se concentrant sur l'optimisation des procédés CMP sur des tranches de 300 mm (d'abord déposées avec a:Si puis recuites en poly :Si-Fig. 2a), et le second s'est concentré sur la fabrication des substrats avec du poly:Si recuit au laser sur des îlots de SiO2, imbriqués dans le silicium massif (Fig. 2b), sur lesquels sont développés les composants photoniques proprement dits. Les îlots poly:Si avaient différentes tailles allant de 10 à 1000 µm2, donc capables d'accueillir une ou plusieurs cavités à cristal photonique à utiliser comme miroirs sélectifs en longueur d'onde dans les lasers à cavité externe hybride (HECL) en configuration de couplage vertical31,32. Comme les performances optiques du poly:Si dépendent fortement de la rugosité de surface, qui domine les pertes de diffusion, le procédé CMP a dû être optimisé pour obtenir le lissé de surface le plus élevé, idéalement dans la gamme sub-nm, pour que les composants photoniques puissent avoir facteurs Q mesurés au moins dans la gamme 103 (par exemple, les valeurs minimales requises pour obtenir un laser à base de PhC monomode, comme on le voit dans33). La fabrication et l'optimisation du substrat pour les applications optiques ont commencé par le dépôt de 2,1 µm de SiO2 par le biais du dépôt physique en phase vapeur assisté par plasma (PECVD) sur des tranches de silicium de 300 mm. Ensuite, une couche de silicium amorphe de 450 nm d'épaisseur a été déposée sur les plaquettes par PECVD basse température (\(T=350\,^\circ \mathrm{C}\)). L'épaisseur de la couche a:Si déposée était supérieure à l'objectif final de 220 nm, car le processus CMP élimine une bonne partie du matériau lors du polissage de la plaquette.

Schémas des substrats poly:Si dans les deux configurations : (a) poly:Si recuit thermiquement déposé sur PECVD SiO2 pour l'optimisation du processus CMP et (b) poly:Si sur des îlots de SiO2 imbriqués dans une plaquette de silicium en vrac pour la fabrication de poly :Si résonateurs optiques.

Les tranches a:Si déposées lors du premier passage ont été recuites thermiquement pour former du poly:Si pour et ont été utilisées pour l'optimisation du processus CMP, tandis que les a:Si sur les îlots SiO2 déposés lors du deuxième passage ont été traités avec un recuit laser pulsé tout en gardant le wafers à \(T=450\,^\circ \mathrm{C}\) pour favoriser la croissance des grains de poly:Si au Leti. Le poly:Si obtenu par ces étapes de recuit au laser présentait des tailles de grains comprises entre des dizaines et des centaines de µm2. La croissance de la taille des grains du poly: Si après recuit au laser ressort des images SEM de la Fig. 3. Cette distribution granulométrique est souhaitable car des cavités PhC entières peuvent être contenues dans un seul grain, évitant ainsi l'interaction optique avec plusieurs joints de grains. à l'intérieur du PhC qui augmenterait les pertes par diffusion.

Images MEB du substrat poly:Si : (a) avant recuit laser (a:Si), (b) après 4 tirs laser d'une énergie de 700 mJ et (c) après 4 tirs laser d'une énergie de 1100 mJ, mettant en évidence la modification de la surface poly:Si due à la croissance des grains liée au recuit laser.

Les tranches de 300 mm polies par CMP lors du premier passage présentaient une rugosité de surface moyenne de \(\{{R}_{a}=0,101 \mathrm{nm}, {R}_{q}=0,049 \mathrm{nm} \}\) avec une bonne uniformité de surface (variation centre-bord \(<\hspace{0.17em}\)15 nm), et l'épaisseur finale de la couche de poly:Si obtenue était de l'ordre de \(250\pm 30\) nm.

Le flux de travail de fabrication pour les îlots poly: Si (configuration de la Fig. 2b) est plus complexe que celui des substrats de la Fig. 2a, car une gravure sélective et un dépôt de matériau sont nécessaires. Les composants photoniques finaux (cavités DA et L3 PhC) ont ensuite été modelés sur les îlots poly:Si et mesurés. L'ensemble du flux de travail du processus de fabrication des cavités PhC à motifs poly: Si sur des îlots de SiO2 est représenté dans les schémas de la Fig. 4.

Flux de travail de fabrication du poly: Si sur des îlots de SiO2 imbriqués dans des tranches de Si en vrac : (a) un masque dur de Si3N4 est déposé et une étape lithographique UV profonde est utilisée pour exposer des rectangles à utiliser comme îlots, (b) gravure au plasma de le masque dur et le Si massif, (c) PECVD du SiO2, (d) planarisation du SiO2, (e) PECVD à basse température du a:Si, (f) recuit laser en poly:Si, (g) CMP du poly:Si, (h) Lithographie par faisceau d'électrons des cavités PhC et (i) leur gravure sèche.

À partir d'une plaquette de silicium en vrac de 300 mm, un masque dur de 60 nm d'épaisseur de Si3N4 est déposé par dépôt chimique en phase vapeur assisté par plasma (PECVD) et une couche de 1 µm de photorésist S1813 est appliquée par centrifugation dessus. Une étape de lithographie UV profonde est effectuée pour exposer des zones rectangulaires de tailles différentes qui définiront les îlots (Fig. 4a). Une double étape de gravure ionique réactive (RIE) d'abord dans la chimie SF6:CHF3 pour le masque Si3N4 et le Si massif pour atteindre une profondeur de gravure supérieure à 1 µm (Fig. 4b) dans laquelle une couche de 1,4 µm d'épaisseur sur SiO2 est déposée par PECVD ( figure 4c). La planarisation du SiO2 est ensuite suivie via l'oxyde standard CMP (Fig. 4d) pour obtenir un oxyde plat rempli de tranchées à remplir avec le silicium déposé. Une étape PECVD à basse température (T = 350 ° C) est utilisée pour déposer 450 nm de a: Si (Fig. 4e), qui est ensuite recuit en poly: Si via une source laser excimère pulsée tout en maintenant le substrat à \ (T =450\,^\circ \mathrm{C}\) pour favoriser la croissance des grains jusqu'à des dizaines de µm2 (Fig. 4f). Le processus poly:Si CMP nouvellement développé est ensuite effectué pour niveler et polir les îlots poly:Si jusqu'à l'épaisseur cible de \(260\pm 40\) nm et une rugosité de surface inférieure à nm (Fig. 4g). Après inspection des substrats par AFM et SEM pour vérifier l'uniformité de surface et l'épaisseur finale de poly: Si, une couche de 500 nm d'épaisseur de résine ZEP 520A a été appliquée par centrifugation sur la plaquette et les cavités PhC spécialement conçues y ont été exposées (Fig. 4h) par lithographie par faisceau d'électrons (EBL) avec un système 100 kV (Elionix ELX100), en veillant à aligner les composants photoniques sur les îlots poly:Si. Enfin, les motifs ont été transférés sur le poly: Si via une gravure au plasma à couplage inductif (ICP) en chimie N2: Cl2 (Fig. 4i). Les propriétés finales de la couche poly:Si et la durée du processus CMP sont détaillées dans le tableau 1.

Le processus CMP P1 : VP5000/FSL1531 et le processus CMP P3 : IK2010H/PL6116 représentent les étapes ultérieures de planarisation chimico-mécanique avec différents paramètres : pression du mandrin de plaquette, vitesse du tampon de polissage, conditionnement.

Le dépôt de SiO2 dans les tranchées de Si massif et le a:Si déposé et recuit par la suite en poly:Si sont illustrés dans les images SEM de la Fig. 5.

Images SEM de : (a) SiO2 déposé dans les tranchées de Si en vrac (vue de dessus), (b) SiO2 déposé (coupe transversale), (c) déposé a:Si (vue latérale) et (d) recuit a:Si en poly :Si (section transversale).

La vue en coupe de dessus et en coupe de la silice déposée est représentée sur les Fig. 5a et b respectivement, tandis que le dépôt a: Si est représenté en vue latérale sur la Fig. 5c et le recuit a: Si en poly: Si est représenté sur la Fig. 5d, juste avant les étapes CMP. L'épaisseur de SiO2 supérieure à 1 µm est d'une grande importance, nécessaire pour bien confiner les modes optiques des cavités PhC dans la couche photonique poly:Si, en évitant le couplage évanescent au Si massif.

Les cavités PhC (conceptions DA et L3) fabriquées sur les îlots poly:Si polis sont plutôt montrées dans les images SEM de la Fig. 6. Le poly:Si sur les îlots SiO2 est visible sur la Fig. 6a, sous forme de nuance de gris différente par rapport à au Si massif (mis en évidence par les lignes pointillées blanches), tandis que les ensembles de cavités PhC sont indiqués par les flèches pointillées roses. Les grossissements élevés d'une cavité PhC dans l'un de ces ensembles sont illustrés aux figures 6b et c, tandis que la figure 6d montre la microcavité imagée à un angle \ (45 ^ \ circ \).

Images MEB des cavités PhC modelées sur les îlots poly:Si déposés à différents grossissements : (a) 28x, les PhC sont mis en évidence par les ellipses roses et les flèches en pointillés et les îlots poly:Si sont délimités par les lignes pointillées blanches, (b ) Cavité L3 PhC optimisée en champ lointain à 9740x, (c) même cavité à 25000x, avec mesures SEM du rayon du trou et de la périodicité PhC et (d) cavité PhC imagée à 45°.

La prochaine section discutera de l'optimisation numérique des cavités poly:Si et de leurs performances optiques mesurées expérimentalement.

Les cavités PhC fabriquées sur les îlots poly:Si ont été simulées et optimisées pour la plage d'épaisseur finale de la couche poly:Si de 240 à 270 nm (obtenue après le traitement CMP) via la méthode Finite-Difference Time-Domain (FDTD) avec l'Ansys –Logiciel Lumerical. Les paramètres de diamètre de trou (d) et de période de réseau (a) de la conception de la cavité à cristal photonique 2D ont été optimisés pour les valeurs d'épaisseur de 240, 250, 260, 270 et 280 nm, afin d'avoir des conceptions avec des performances optiques élevées couvrant toutes les gamme expérimentale d'épaisseur de couche. Des conceptions de cavités DA et L3 2D PhC ont été choisies pour les simulations numériques. Le décalage des trous de la cavité centrale des dispositifs fabriqués a été optimisé pour obtenir un facteur Q relativement élevé tout en maintenant une bonne partie du couplage de la lumière dans et hors de la cavité PhC le long de sa direction normale, comme dans l'optimisation du champ lointain étudiée en 22. Malgré l'abaissement intrinsèque de leurs facteurs Q, l'optimisation du champ lointain est nécessaire pour ces cavités afin de mesurer leur réponse sans avoir besoin de guides d'ondes qui leur sont connectés.

Afin de simuler avec précision le matériau poly-Si fabriqué, les constantes optiques de réfraction et d'absorption n et k (indice de réfraction et coefficient d'extinction, respectivement) des tranches poly:Si polies ont été mesurées par ellipsométrie optique (ellipsomètre JA Wollam) sur une large gamme de longueurs d'onde, de 600 à 1600 nm, et les valeurs mesurées ont été importées dans l'archive de matériaux Lumerical, afin de prendre en compte les pertes d'absorption pour les calculs. Les données d'ellipsométrie mesurées du poly: Si sont présentées sur la figure 7, dans la plage d'intérêt (de 1500 à 1600 nm).

Tracé des parties réelles (n) et imaginaires (k) de l'indice de réfraction d'une plaquette poly:Si polie en fonction de la longueur d'onde, mesurée avec l'ellipsomètre et équipée d'un modèle de Cauchy.

En raison du réseau hexagonal PhC, les simulations Lumerical ont toutes été réalisées avec une taille de maille de \(dx=a/25\) et \(dy=a\left(\sqrt{3}/2\right)/25\) et \(dz=t/10\), avec \(t\) représentant l'épaisseur poly:Si, afin d'avoir un nombre entier de cellules le long de chaque axe.

Les modes optiques calculés confinés dans une cavité poly: Si DA de 270 nm d'épaisseur sont illustrés à la Fig. 8, dans laquelle une carte de couleurs de l'intensité du champ électrique des modes de la cavité est tracée dans le plan xy. Dans les différents panneaux de la figure, le mode fondamental (mode 1) présente la forme typique avec un seul pic d'intensité et aucun lobe, tandis que les modes d'ordre supérieur (modes 2, 3 et 4) présentent un nombre croissant de pics en fonction de leur ordre croissant des modes. Les modes 1, 2, 3 et 4 présentaient des facteurs Q simulés de 5,2·106 à 1578,35 nm, 3,1·105 à 1559,63 nm, 1,7·104 à 1568,90 nm et 6,1·103 à 1530,41 nm.

Tracé de l'intensité du champ électrique dans le plan xy des quatre premiers modes optiques confinés dans une cavité poly:Si DA PhC de 270 nm d'épaisseur. (a) Mode 1 (le mode fondamental) et modes d'ordre supérieur (b) Mode 2, (c) Mode 3 et (d) Mode 4.

Les simulations ont été répétées pour des cavités PhC de type L3 pour les différentes épaisseurs de silicium déposées et la figure 9 montre les premiers modes optiques confinés dans une telle cavité avec une épaisseur de 270 nm. Le mode fondamental (mode 1) a un facteur Q calculé de 5,2·105 à 1538,08 nm tandis que le mode d'ordre supérieur (mode 2) a un facteur Q calculé de 4,1·104 à 1539,43 nm.

Tracé de l'intensité du champ électrique dans le plan xy des quatre premiers modes optiques confinés dans une cavité poly:Si L3 PhC de 270 nm d'épaisseur. (a) Mode 1 (le mode fondamental) et (b) mode d'ordre supérieur (Mode 2).

Les cavités PhC (DA)34 et L3 PhC optimisées pour la dispersion optimisée en champ lointain modelées sur le poly:Si poli sur des îlots de SiO2 imbriqués dans les tranches de Si en vrac au moyen d'EBL et de gravure sèche ont été caractérisées optiquement par la technique de diffusion résonnante35 et les résultats sont résumé à la Fig. 10.

(a) Spectre optique d'un L3 PhC mesuré par la technique de diffusion résonnante, (b) Spectre du mode fondamental mesuré de (a) dans lequel la courbe rouge représente un ajustement lorentzien de la résonance, (c) Facteurs Q mesurés de L3 cavités avec une constante de réseau augmentant par pas de 2 nm, (d) Longueur d'onde de résonance des modes optiques de la cavité L3 PhC en fonction de la constante de réseau, dans laquelle différentes couleurs représentent différentes résonances mesurées.

La figure 9a représente le spectre optique mesuré d'une cavité L3 PhC modelée sur un îlot poly:Si poli de 270 nm d'épaisseur, dans lequel les modes optiques confinés se manifestent par des pics d'intensité sortant du signal de base de la source, comme prévu avec la technique de diffusion résonnante. Un gros plan du mode fondamental mesuré est illustré à la Fig. 9b et équipé d'une courbe de Lorentz avec une FWHM de 0,216 nm et une longueur d'onde centrale maximale de 1548,31 nm, ce qui conduit à un facteur Q mesuré de 7141. Les facteurs Q de la même conception de cavité L3 PhC avec une constante de réseau croissante (\ (a \)) sont illustrés à la Fig. 9c, dans laquelle la constante de réseau augmente par pas de 2 nm. Tous les facteurs Q mesurés se situent dans la plage \ ({10} ^ {3} - {10} ^ {4} \) Sur la figure 9d, les longueurs d'onde de résonance mesurées des différents modes de cavité de la conception de la cavité L3 PhC sont tracé en fonction de l'augmentation de la constante de réseau (paramètre balayé avec un incrément de 2 nm dans les dispositifs fabriqués), montrant un comportement très linéaire pour tous les modes optiques mesurés et conduisant à la possibilité d'un contrôle assez précis de la longueur d'onde de résonance par réglage lithographique.

Ces résultats démontrent la possibilité d'avoir des résonateurs optiques de relativement haute qualité modélisés sur un îlot intégré 3D de poly:Si sur SiO2 intégré sur des tranches de Si en vrac pour les interconnexions optiques de nouvelle génération. Le résonateur à cristal photonique situé dans l'îlot de silicium peut être connecté à d'autres composants par l'intermédiaire d'une couche de guidage d'ondes positionnée verticalement au-dessus. Une variété de composants ont été démontrés dans une configuration à couplage vertical tels que des photodétecteurs36, des modulateurs37 et des lasers32. Une telle configuration est parfaitement adaptée à cette application car les guides d'onde d'interconnexion ne réduisent pas la surface disponible pour les transistors.

Les étapes de traitement utilisées dans ce travail sont toutes des processus standard en CMOS (par exemple, une isolation par tranchée peu profonde peut fournir la couche de SiO2). Suite à la fabrication d'îlots de polysilicium, les procédés CMOS peuvent être utilisés pour fabriquer des composants électroniques et les couches suivantes. Notre approche est entièrement compatible CMOS car les étapes CMOS suivantes n'auront aucun effet sur les "îlots photoniques". Cette approche permet ainsi une intégration frontale de l'électronique et de la photonique avec une perturbation minimale du flux de processus.

Dans ce travail, le développement d'un procédé de fabrication pour l'optimisation des performances optiques du poly:Si déposé est présenté au moyen de la planarisation chimico-mécanique et du recuit laser, atteignant des valeurs de rugosité de surface à l'échelle sub-nanométrique. La fabrication de poly:Si mince sur des îlots de SiO2 de 2 µm d'épaisseur est réalisée sur des tranches de Si en vrac, qui pourraient fonctionner comme des couches photoniques intégrées sur la couche électronique en Si en vrac dans des architectures intégrées photoniques-électroniques. De plus, des résonateurs optiques à Q relativement élevé sous la forme de cavités PhC 2D (conceptions DA et L3) ont été développés pour de tels îlots poly:Si et leurs performances optiques ont été mesurées, avec des valeurs de facteur Q très compatibles avec les exigences de fonctionnement. comme miroirs résonnants sélectifs en longueur d'onde dans les lasers à cavité externe. Cela ouvre la possibilité d'utiliser des résonateurs optiques poly:Si déposés dans de nouveaux composants photoniques-électroniques intégrés 3D pour les interconnexions optiques de nouvelle génération.

Les ensembles de données utilisés et/ou analysés au cours de l'étude en cours sont disponibles auprès de l'auteur correspondant sur demande raisonnable. Les auteurs ne déclarent aucun conflit d'intérêt.

Feuille de route internationale pour les appareils et les systèmes Édition 2017–More Moore, ITRS (2019).

Cutress, I. IBM crée la première puce de 2 nm. www.anandtech.com (consulté le 6 mai 2021).

Samavedam, SB, Ryckaert, J., Beyne, E., Ronse, K., Horiguchi, N., Tokei, Z., Radu, I., Bardon, MG, Na, MH, Spessot, A., Biesemans, S Mise à l'échelle logique future : vers des canaux atomiques et des puces déconstruites 1.1.1–1.1.10 IEEE Int. Les appareils électroniques se rencontrent. (IEDM), (2020).

Feuille de route internationale pour les appareils et les systèmes 2014 Edition–2.0 FT Whitepaper, System Integration R1, ITRS (2014)

Narasimha, A. et al. Un émetteur-récepteur optoélectronique DWDM 4 X 10-Gb/s entièrement intégré implémenté dans une technologie CMOS SOI standard de 0,13 µm. IEEE J. Solid State Circuits 42(12), 2736–2744 (2007).

Annonces d'article Google Scholar

Puers, R., Baldi, L., Van de Voorde, M. & Van Nooten, SE Nanoelectronics: Materials, devices, applications 1st edn. (Wiley-VCH, 2017).

Google Scholar

Priya, A., Srivastava, NA et Mishra, R. "Perspective de la variation d'épaisseur d'oxyde enterré sur le MOSFET FD-SOI encastré à triple grille métallique (TMG)". Tapis. Sci. Adv. Électr. Électr. Ing. 16, 380–387 (2018).

Google Scholar

Holzwarth, CW, Orcutt, JS, Li, H., Popovic, MA, Stojanovic, V., Hoyt, JL, Ram, RJ & Smith, HI Technique d'élimination localisée du substrat permettant une microphotonique à fort confinement dans les processus Si CMOS en vrac. Dans : Conférence sur les lasers et l'électro-optique, résumé technique OSA, société optique d'Amérique (2008).

Orcutt, JS et al. Intégration nanophotonique dans des fonderies CMOS de pointe. Opter. Express 19, 2335–2346 (2011).

Article ADS CAS Google Scholar

Mehta, KK et al. Dispositifs à microcavité à cristaux photoniques intégrés CMOS à Q élevé. Sci. Rep. 4, 4077 (2014).

Annonces d'article Google Scholar

Sherwood-Droz, N., Gondarenko, A. & Lipson, M. Silicium sur isolant oxydé (OxSOI) à partir de silicium en vrac : une nouvelle plate-forme photonique. Opter. Express 18, 5785–5790 (2010).

Article ADS CAS Google Scholar

Sridaran, S. & Bhave, SA Dispositifs nanophotoniques sur de minces substrats d'oxyde de silicium enterré sur isolant. Opter. Express 18, 3850–3857 (2010).

Article ADS CAS Google Scholar

Young, IA et al. Technologie d'E/S optique pour le calcul à l'échelle du téra. IEEE J. Circuits à semi-conducteurs 45(1), 235–248 (2010).

Annonces d'article Google Scholar

Pae, S., Su, T., Denton, J. & Neudeck, G. Fabrication de couches multiples d'îlots de silicium sur isolant par croissance épitaxiale sélective. IEEE Electron Device Lett. 20, 194-196 (1999).

Article ADS CAS Google Scholar

Ogura, A. Méthode de fabrication d'un substrat SOI.1 Brevet américain 5 888 297, Date de délivrance : 30 mars (1999).

Bajor G et al. Utilisation d'un procédé thermique rapide pour la fabrication d'un semi-conducteur SOI lié à une tranche. Brevet américain 4,771,016 Date de délivrance : 13 septembre (1988)

Preston, K., Schmidt, B. & Lipson, M. Résonateurs photoniques en polysilicium pour l'intégration 3D à grande échelle de réseaux optiques. Optique. Exprimer. 15, 17283–17290 (2008).

Annonces d'article Google Scholar

Biberman, A. et al. Architectures de réseau photonique sur puce utilisant des matériaux de silicium déposés multicouches pour des multiprocesseurs à puce hautes performances. ACM J. Emerg. Informatique technologique. Syst. 7, 1–25 (2011).

Article Google Scholar

Foresi, JS et al. Pertes dans les guides d'ondes en silicium polycristallin. Appl. Phys. Lett. 68, 2052 (1996).

Article ADS CAS Google Scholar

Liao, Ling et al. Pertes de transmission optique dans les guides d'ondes à bande de silicium polycristallin : effets des dimensions du guide d'ondes, du traitement thermique, de la passivation par l'hydrogène et de la longueur d'onde. J. Electr. Tapis. 29, 1380-1386 (2001).

Annonces d'article Google Scholar

Orcutt, JS et al. Guides d'ondes en polysilicium à faible perte fabriqués dans un processus électronique émulé à haut volume. Optique. Express 20, 7243–7254 (2012).

Article ADS CAS Google Scholar

Zhu, S. et al. Pertes de propagation dans les guides d'ondes à fils de silicium polycristallin non dopés et dopés n. Optique. Exprimer. 17, 20891–20899 (2009).

Article ADS CAS Google Scholar

Kuyken, B. et al. Propriétés non linéaires et traitement non linéaire dans les guides d'ondes en silicium amorphe hydrogéné. Optique. Exprimer. 19, B146–B153 (2011).

Article CAS Google Scholar

Kaneko, K., Inoue, N., Saito, S., Furutake N. & Hayashi, Y. In : Un nouveau transistor BEOL (BETr) avec InGaZnO intégré dans des interconnexions Cu pour les E/S haute tension sur puce en standard CMOS LSI 120–121 Symposium sur la technologie VLSI, (2011)

Tong, Q.-Y. & Gösele, U. Collage de tranches de semi-conducteurs : science et technologie (Wiley-Interscience, 1998).

Google Scholar

Foresi, JS, Black, MR, Agarwal, AM & Kimerling, LC Pertes dans les guides d'ondes en silicium polycristallin. Appl. Phys. Lett. 68, 2052 (1996).

Article ADS CAS Google Scholar

Martinez-Jimenez, G. et al. Microstructures photoniques réalisées par gravure sélective de silicium amorphe cristallisé par laser. Opter. Mater. Express 9, 2573-2581 (2019).

Article ADS CAS Google Scholar

Aktas, O. et al. Propriétés non linéaires des guides d'ondes en silicium polycristallin traités au laser pour la photonique intégrée. Opter. Express 28, 29192–29201 (2020).

Article ADS CAS Google Scholar

Godbole, VP & Chaudari, SM Recuit laser du silicium. Boul. Mater. Sci. 11, 97-108 (1988).

Article CAS Google Scholar

Gluschenkov, O. & Jagannathan, H. Recuit laser dans la fabrication CMOS. ECS Trans. 85, 11 (2018).

Article CAS Google Scholar

Liles, AA, Debnath, K. & O'Faolain, L. Contrôle de la longueur d'onde lithographique d'un laser à cavité externe avec un réflecteur résonant à base de cavité à cristal photonique en silicium. Opter. Lett. 41, 894–897 (2016).

Article ADS CAS Google Scholar

Iadanza, S., Devarapu, C., Liles, A., Sheehan, R. & O'Faoláin, L. Laser à cavité externe hybride avec un miroir de cavité à cristal photonique à base de silicium amorphe. Appl. Sci. 10, 240 (2020).

Article CAS Google Scholar

Iadanza, S. et al. Laser à cavité hybride thermiquement stable basé sur des réseaux de nitrure de silicium. Appl. Opter. 57, E218–E223 (2018).

Article ADS CAS Google Scholar

Welna, K., Portalupi, SL, Galli, M., O'Faolain, L. & Krauss, TF Nouvelle cavité cristalline photonique adaptée à la dispersion avec une stabilité de désordre améliorée. IEEE J. Quantum Electr. 48(9), 1177-1183 (2012).

Article ADS CAS Google Scholar

Portalupi, SL et al. Cavités à cristaux photoniques planaires avec optimisation en champ lointain pour une efficacité de couplage et un facteur de qualité élevés. Opter. Express 18, 16064–16073 (2010).

Article ADS CAS Google Scholar

Debnath, K. et al. Guide d'ondes diélectrique couplé verticalement à des photodiodes tout silicium fonctionnant à des longueurs d'onde de télécommunication. Appl. Phys. Lett. 102, 171106 (2013).

Annonces d'article Google Scholar

Debnath, K. et al. Architecture de modulateur en cascade pour les applications WDM. Opter. Express 20, 27420–27428 (2012).

Annonces d'article Google Scholar

Télécharger les références

Les auteurs remercient l'European Nanoelectronics Network (Ascent 104), EU ERC-StG 337508 DANCER, Science Foundation Ireland (SFI) (16/ERCS/3838, SFI12/RC/2276).

Tyndall National Institute, Lee Maltings, Dyke Parade, Cork, Irlande

S. Iadanza, GCR Devarapu, A. Blake et L. O'Faolain

Université technologique de Munster, Rossa Avenue, Bishopstown, Cork, Irlande

S. Iadanza, GCR Devarapu & L. O'Faolain

Université Grenoble Alpes, CEA, LETI, 38000, Grenoble, France

P. Acosta Dawn & J.-M. Pédini

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

SI a écrit le texte du manuscrit, conçu, fabriqué et mesuré les microcavités poly:Si, conçu l'architecture de l'îlot poly:Si et administré le projet avec L.O'FCD a fourni le script pour l'extraction gds des microcavités poly:Si. PAA était responsable du recuit laser et de l'ingénierie granulométrique du poly:Si et JM.P. des SEM de la section efficace du poly:Si sur les îlots de SiO2 après CMP. AB a fourni un aperçu des étapes FEOL et BEOL de la fabrication CMOS. L.O'F. supervisé le projet et assuré sa conceptualisation. L.O'F. et SI a acquis un financement. Tous les auteurs ont examiné le manuscrit.

Correspondance à S. Iadanza.

Les auteurs ne déclarent aucun intérêt concurrent.

Springer Nature reste neutre en ce qui concerne les revendications juridictionnelles dans les cartes publiées et les affiliations institutionnelles.

Libre accès Cet article est sous licence Creative Commons Attribution 4.0 International, qui permet l'utilisation, le partage, l'adaptation, la distribution et la reproduction sur n'importe quel support ou format, à condition que vous accordiez le crédit approprié à l'auteur ou aux auteurs originaux et à la source, fournir un lien vers la licence Creative Commons et indiquer si des modifications ont été apportées. Les images ou tout autre matériel de tiers dans cet article sont inclus dans la licence Creative Commons de l'article, sauf indication contraire dans une ligne de crédit au matériel. Si le matériel n'est pas inclus dans la licence Creative Commons de l'article et que votre utilisation prévue n'est pas autorisée par la réglementation légale ou dépasse l'utilisation autorisée, vous devrez obtenir l'autorisation directement du détenteur des droits d'auteur. Pour voir une copie de cette licence, visitez http://creativecommons.org/licenses/by/4.0/.

Réimpressions et autorisations

Iadanza, S., Devarapu, GCR, Blake, A. et al. Cavités PhC en silicium polycristallin pour l'intégration CMOS sur puce. Sci Rep 12, 17097 (2022). https://doi.org/10.1038/s41598-022-21578-6

Télécharger la citation

Reçu : 09 février 2022

Accepté : 29 septembre 2022

Publié: 12 octobre 2022

DOI : https://doi.org/10.1038/s41598-022-21578-6

Toute personne avec qui vous partagez le lien suivant pourra lire ce contenu :

Désolé, aucun lien partageable n'est actuellement disponible pour cet article.

Fourni par l'initiative de partage de contenu Springer Nature SharedIt

En soumettant un commentaire, vous acceptez de respecter nos conditions d'utilisation et nos directives communautaires. Si vous trouvez quelque chose d'abusif ou qui ne respecte pas nos conditions ou directives, veuillez le signaler comme inapproprié.

PARTAGER